COMMERCIAL ; BLOCK RESETPATHS ; BLOCK ASYNCPATHS ; #Clock I/O LOCATE COMP "FROM_TRB_TO_ADDON_CLK" SITE "N1"; IOBUF PORT "FROM_TRB_TO_ADDON_CLK" IO_TYPE=LVDS25 ; # LOCATE COMP "FROM_TRB_TO_ADDON_CLKB" SITE "P1"; # IOBUF PORT "FROM_TRB_TO_ADDON_CLKB" IO_TYPE=LVDS25 ; LOCATE COMP "ADO_CLKOUTP" SITE "AB26"; IOBUF PORT "ADO_CLKOUTP" IO_TYPE=LVDS25 ; LOCATE COMP "ADO_CLKOUTN" SITE "AB27"; IOBUF PORT "ADO_CLKOUTN" IO_TYPE=LVDS25 ; LOCATE COMP "CLOCK_FEEDBACK" SITE "AB28"; IOBUF PORT "CLOCK_FEEDBACK" IO_TYPE=LVDS25 ; # LOCATE COMP "CLOCK_FEEDBACK_B" SITE "AB29"; # IOBUF PORT "CLOCK_FEEDBACK_B" IO_TYPE=LVDS25 ; LOCATE COMP "CLOCK_INTER_FPGA" SITE "G25"; IOBUF PORT "CLOCK_INTER_FPGA" IO_TYPE=LVDS25 ; # LOCATE COMP "CLOCK_INTER_FPGA_B" SITE "F26"; # IOBUF PORT "CLOCK_INTER_FPGA_B" IO_TYPE=LVDS25 ; # LOCATE COMP "FCLK_1" SITE "M29"; # IOBUF PORT "FCLK_1" IO_TYPE=LVDS25 ; # LOCATE COMP "FCLKB_1" SITE "M30"; # IOBUF PORT "FCLKB_1" IO_TYPE=LVDS25 ; #Reset LOCATE COMP "ADDON_RESET" SITE "E19"; IOBUF PORT "ADDON_RESET" IO_TYPE=LVTTL33 ; #Serdes Oscillators # LOCATE COMP "S_OSC_1" SITE "AG7"; # LOCATE COMP "S_OSC_2" SITE "AG24"; # LOCATE COMP "S_OSC_3" SITE "D24"; # LOCATE COMP "S_OSC_4" SITE "D7"; # LOCATE COMP "S_OSCB_1" SITE "AF7"; # LOCATE COMP "S_OSCB_2" SITE "AF24"; # LOCATE COMP "S_OSCB_3" SITE "E24"; # LOCATE COMP "S_OSCB_4" SITE "E7"; # IOBUF PORT "S_OSC_1" IO_TYPE=LVDS25 ; # IOBUF PORT "S_OSC_2" IO_TYPE=LVDS25 ; # IOBUF PORT "S_OSC_3" IO_TYPE=LVDS25 ; # IOBUF PORT "S_OSC_4" IO_TYPE=LVDS25 ; #AddOn-Connector Data Lines # LOCATE COMP "ADO_LV_16" SITE "R3"; # LOCATE COMP "ADO_LV_17" SITE "R2"; # LOCATE COMP "ADO_LV_18" SITE "T5"; # LOCATE COMP "ADO_LV_19" SITE "T4"; # LOCATE COMP "ADO_LV_20" SITE "U5"; # LOCATE COMP "ADO_LV_21" SITE "U4"; # LOCATE COMP "ADO_LV_22" SITE "Y9"; # LOCATE COMP "ADO_LV_23" SITE "Y8"; # LOCATE COMP "ADO_LV_24" SITE "AB2"; # LOCATE COMP "ADO_LV_25" SITE "AB3"; # LOCATE COMP "ADO_LV_26" SITE "AC7"; # LOCATE COMP "ADO_LV_27" SITE "AC6"; # LOCATE COMP "ADO_LV_44" SITE "T3"; # LOCATE COMP "ADO_LV_45" SITE "T2"; # LOCATE COMP "ADO_LV_46" SITE "U3"; # LOCATE COMP "ADO_LV_47" SITE "U2"; # LOCATE COMP "ADO_LV_48" SITE "Y1"; # LOCATE COMP "ADO_LV_49" SITE "Y2"; # LOCATE COMP "ADO_LV_50" SITE "AA1"; # LOCATE COMP "ADO_LV_51" SITE "AA2"; # LOCATE COMP "ADO_LV_52" SITE "AB4"; # LOCATE COMP "ADO_LV_53" SITE "AB5"; # LOCATE COMP "ADO_LV_54" SITE "AC1"; # LOCATE COMP "ADO_LV_55" SITE "AC2"; # LOCATE COMP "ADO_LV_56" SITE "U1"; # LOCATE COMP "ADO_LV_57" SITE "V2"; # LOCATE COMP "ADO_LV_58" SITE "V1"; # LOCATE COMP "ADO_LV_59" SITE "W1"; # LOCATE COMP "ADO_LV_60" SITE "W3"; # LOCATE COMP "ADO_LV_61" SITE "W4"; # IOBUF PORT "ADO_LV_16" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_18" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_20" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_22" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_24" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_26" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_44" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_46" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_48" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_50" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_52" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_54" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_56" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_58" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "ADO_LV_60" IO_TYPE=LVDS25 PULLMODE=NONE ; LOCATE COMP "ADO_TTL_0" SITE "AF10"; LOCATE COMP "ADO_TTL_1" SITE "AE8"; LOCATE COMP "ADO_TTL_2" SITE "AE11"; LOCATE COMP "ADO_TTL_3" SITE "AD9"; LOCATE COMP "ADO_TTL_4" SITE "AE10"; LOCATE COMP "ADO_TTL_5" SITE "AD10"; LOCATE COMP "ADO_TTL_6" SITE "AE13"; LOCATE COMP "ADO_TTL_7" SITE "AC12"; LOCATE COMP "ADO_TTL_8" SITE "AG2"; LOCATE COMP "ADO_TTL_9" SITE "AG3"; LOCATE COMP "ADO_TTL_10" SITE "AD13"; LOCATE COMP "ADO_TTL_11" SITE "AC13"; LOCATE COMP "ADO_TTL_12" SITE "AE14"; LOCATE COMP "ADO_TTL_13" SITE "AC14"; LOCATE COMP "ADO_TTL_14" SITE "AF3"; LOCATE COMP "ADO_TTL_15" SITE "AF4"; LOCATE COMP "ADO_TTL_16" SITE "AG4"; LOCATE COMP "ADO_TTL_17" SITE "AG5"; LOCATE COMP "ADO_TTL_18" SITE "AD11"; LOCATE COMP "ADO_TTL_19" SITE "AF13"; LOCATE COMP "ADO_TTL_20" SITE "AF12"; LOCATE COMP "ADO_TTL_21" SITE "AD14"; LOCATE COMP "ADO_TTL_22" SITE "AG8"; LOCATE COMP "ADO_TTL_23" SITE "AF8"; LOCATE COMP "ADO_TTL_24" SITE "AE15"; LOCATE COMP "ADO_TTL_25" SITE "AC15"; LOCATE COMP "ADO_TTL_26" SITE "AD15"; LOCATE COMP "ADO_TTL_27" SITE "AF15"; LOCATE COMP "ADO_TTL_28" SITE "AG10"; LOCATE COMP "ADO_TTL_29" SITE "AG9"; LOCATE COMP "ADO_TTL_30" SITE "AF16"; LOCATE COMP "ADO_TTL_31" SITE "AC16"; LOCATE COMP "ADO_TTL_32" SITE "AE16"; LOCATE COMP "ADO_TTL_33" SITE "AF11"; LOCATE COMP "ADO_TTL_34" SITE "AD17"; LOCATE COMP "ADO_TTL_35" SITE "AF19"; LOCATE COMP "ADO_TTL_36" SITE "AH17"; LOCATE COMP "ADO_TTL_37" SITE "AJ17"; LOCATE COMP "ADO_TTL_38" SITE "AF26"; LOCATE COMP "ADO_TTL_39" SITE "AE25"; LOCATE COMP "ADO_TTL_40" SITE "AJ14"; LOCATE COMP "ADO_TTL_41" SITE "AK14"; LOCATE COMP "ADO_TTL_42" SITE "AK15"; LOCATE COMP "ADO_TTL_43" SITE "AK16"; LOCATE COMP "ADO_TTL_44" SITE "AF18"; LOCATE COMP "ADO_TTL_45" SITE "AD16"; LOCATE COMP "ADO_TTL_46" SITE "AJ15"; IOBUF PORT "ADO_TTL_0" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_2" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_3" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_4" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_5" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_6" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_7" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_8" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_9" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_10" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_11" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_12" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_13" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_14" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_15" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_16" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_17" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_18" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_19" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_20" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_21" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_22" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_23" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_24" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_25" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_26" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_27" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_28" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_29" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_30" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_31" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_32" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_33" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_34" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_35" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_36" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_37" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_38" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_39" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_40" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_41" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_42" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_43" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_44" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_45" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "ADO_TTL_46" IO_TYPE=LVTTL33 PULLMODE=NONE ; #LED next to FPGA LOCATE COMP "DBUSY_1" SITE "AG22"; IOBUF PORT "DBUSY_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; LOCATE COMP "DEADTIME_1" SITE "AG18"; IOBUF PORT "DEADTIME_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; LOCATE COMP "DTRIGGER_1" SITE "AF21"; IOBUF PORT "DTRIGGER_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; LOCATE COMP "DWAIT_1" SITE "AJ16"; IOBUF PORT "DWAIT_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; #Connection to FPGA3 # LOCATE COMP "F1_OUT_TTL_0" SITE "AG16"; # LOCATE COMP "F1_OUT_TTL_1" SITE "AE17"; # LOCATE COMP "F1_OUT_TTL_2" SITE "AC17"; # LOCATE COMP "F1_OUT_TTL_3" SITE "AH16"; # LOCATE COMP "F1_OUT_TTL_4" SITE "AK17"; # LOCATE COMP "F1_OUT_TTL_5" SITE "AG20"; # LOCATE COMP "F1_OUT_TTL_6" SITE "AG21"; # LOCATE COMP "F1_OUT_TTL_7" SITE "AD24"; # LOCATE COMP "F1_OUT_TTL_8" SITE "AE24"; # IOBUF PORT "F1_OUT_TTL_0" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_2" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_3" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_4" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_5" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_6" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_7" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_8" IO_TYPE=LVTTL33 PULLMODE=NONE ; # LOCATE COMP "F1_TO_OUT_0" SITE "K2"; # LOCATE COMP "F1_TO_OUTB_0" SITE "K1"; # LOCATE COMP "F1_TO_OUT_1" SITE "J3"; # LOCATE COMP "F1_TO_OUTB_1" SITE "J2"; # LOCATE COMP "F1_TO_OUT_2" SITE "L3"; # LOCATE COMP "F1_TO_OUTB_2" SITE "L2"; # LOCATE COMP "F1_TO_OUT_3" SITE "L5"; # LOCATE COMP "F1_TO_OUTB_3" SITE "L4"; # LOCATE COMP "F1_TO_OUT_4" SITE "L1"; # LOCATE COMP "F1_TO_OUTB_4" SITE "M2"; # LOCATE COMP "F1_TO_OUT_5" SITE "M4"; # LOCATE COMP "F1_TO_OUTB_5" SITE "M3"; # LOCATE COMP "F1_TO_OUT_6" SITE "M6"; # LOCATE COMP "F1_TO_OUTB_6" SITE "M5"; # LOCATE COMP "F1_TO_OUT_7" SITE "M1"; # LOCATE COMP "F1_TO_OUTB_7" SITE "N2"; # LOCATE COMP "F1_TO_OUT_8" SITE "P3"; # LOCATE COMP "F1_TO_OUTB_8" SITE "P2"; # IOBUF PORT "F1_TO_OUT_0" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_1" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_2" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_3" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_4" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_5" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_6" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_7" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_8" IO_TYPE=LVDS25 PULLMODE=NONE ; # LOCATE COMP "OUT_TO_F1__0" SITE "N9"; # LOCATE COMP "OUT_TO_F1B__0" SITE "N7"; # LOCATE COMP "OUT_TO_F1__1" SITE "N8"; # LOCATE COMP "OUT_TO_F1B__1" SITE "M9"; # LOCATE COMP "OUT_TO_F1__2" SITE "P6"; # LOCATE COMP "OUT_TO_F1B__2" SITE "P8"; # LOCATE COMP "OUT_TO_F1__3" SITE "H1"; # LOCATE COMP "OUT_TO_F1B__3" SITE "J1"; # LOCATE COMP "OUT_TO_F1__4" SITE "P5"; # LOCATE COMP "OUT_TO_F1B__4" SITE "P4"; # LOCATE COMP "OUT_TO_F1__5" SITE "N5"; # LOCATE COMP "OUT_TO_F1B__5" SITE "N6"; # LOCATE COMP "OUT_TO_F1__6" SITE "P9"; # LOCATE COMP "OUT_TO_F1B__6" SITE "P7"; # LOCATE COMP "OUT_TO_F1__7" SITE "R5"; # LOCATE COMP "OUT_TO_F1B__7" SITE "R4"; # LOCATE COMP "OUT_TO_F1__8" SITE "R8"; # LOCATE COMP "OUT_TO_F1B__8" SITE "T9"; # IOBUF PORT "OUT_TO_F1__0" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__1" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__2" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__3" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__4" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__5" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__6" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__7" IO_TYPE=LVDS25 PULLMODE=NONE ; #JTAG / Etrax # LOCATE COMP "FPGA1_TDO" SITE "AF1"; # LOCATE COMP "FS_PE_0" SITE "F7"; # LOCATE COMP "FS_PE_1" SITE "D8"; # LOCATE COMP "FS_PE_2" SITE "J13"; # LOCATE COMP "FS_PE_3" SITE "G11"; # LOCATE COMP "FS_PE_4" SITE "H13"; # LOCATE COMP "FS_PE_5" SITE "H12"; # LOCATE COMP "FS_PE_6" SITE "E8"; # LOCATE COMP "FS_PE_7" SITE "D9"; # LOCATE COMP "FS_PE_8" SITE "D12"; # LOCATE COMP "FS_PE_9" SITE "E13"; # LOCATE COMP "FS_PE_10" SITE "J12"; # IOBUF PORT "FS_PE_0" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FS_PE_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FS_PE_2" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FS_PE_3" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FS_PE_4" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FS_PE_5" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FS_PE_6" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FS_PE_7" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FS_PE_8" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FS_PE_9" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FS_PE_10" IO_TYPE=LVTTL33 PULLMODE=NONE ; #Connection to FPGA2 # LOCATE COMP "INTERLVDS__0" SITE "W28"; # LOCATE COMP "INTERLVDS__1" SITE "V29"; # LOCATE COMP "INTERLVDS__2" SITE "U26"; # LOCATE COMP "INTERLVDS__3" SITE "U27"; # LOCATE COMP "INTERLVDS__4" SITE "T28"; # LOCATE COMP "INTERLVDS__5" SITE "R30"; # LOCATE COMP "INTERLVDS__6" SITE "R29"; # LOCATE COMP "INTERLVDS__7" SITE "P28"; # LOCATE COMP "INTERLVDS__8" SITE "P26"; # LOCATE COMP "INTERLVDS__9" SITE "P24"; # LOCATE COMP "INTERLVDS__10" SITE "P22"; # LOCATE COMP "INTERLVDS__11" SITE "N29"; # LOCATE COMP "INTERLVDS__12" SITE "N23"; # LOCATE COMP "INTERLVDS__13" SITE "M28"; # LOCATE COMP "INTERLVDS__14" SITE "M26"; # LOCATE COMP "INTERLVDS__15" SITE "M22"; # LOCATE COMP "INTERLVDS__16" SITE "L27"; # LOCATE COMP "INTERLVDS__17" SITE "L23"; # LOCATE COMP "INTERLVDS__18" SITE "K25"; # LOCATE COMP "INTERLVDS__19" SITE "K22"; # LOCATE COMP "INTERLVDS__20" SITE "J28"; # LOCATE COMP "INTERLVDS__21" SITE "H26"; # LOCATE COMP "INTERLVDS__22" SITE "H24"; # LOCATE COMP "INTERLVDS__23" SITE "H25"; # LOCATE COMP "INTERLVDS__24" SITE "E29"; # LOCATE COMP "INTERLVDS__25" SITE "W29"; # LOCATE COMP "INTERLVDS__26" SITE "V25"; # LOCATE COMP "INTERLVDS__27" SITE "U30"; # LOCATE COMP "INTERLVDS__28" SITE "U24"; # LOCATE COMP "INTERLVDS__29" SITE "V23"; # LOCATE COMP "INTERLVDS__30" SITE "R22"; # LOCATE COMP "INTERLVDS__31" SITE "T22"; # LOCATE COMP "INTERLVDS__32" SITE "T26"; # LOCATE COMP "INTERLVDS__33" SITE "R28"; # LOCATE COMP "INTERLVDS__34" SITE "K29"; # LOCATE COMP "INTERLVDS__35" SITE "P27"; # LOCATE COMP "INTERLVDS__36" SITE "J29"; # LOCATE COMP "INTERLVDS__37" SITE "N26"; # LOCATE COMP "INTERLVDS__38" SITE "G30"; # LOCATE COMP "INTERLVDS__39" SITE "L29"; # LOCATE COMP "INTERLVDS__40" SITE "F29"; # LOCATE COMP "INTERLVDS__41" SITE "G29"; # LOCATE COMP "INTERLVDS__42" SITE "D29"; # LOCATE COMP "INTERLVDS__43" SITE "K26"; # LOCATE COMP "INTERLVDS__44" SITE "J23"; # LOCATE COMP "INTERLVDS__45" SITE "G27"; # LOCATE COMP "INTERLVDS__46" SITE "G26"; # LOCATE COMP "INTERLVDS__47" SITE "E28"; # LOCATE COMP "INTERLVDS__48" SITE "E27"; # LOCATE COMP "INTERLVDS__49" SITE "L26"; # LOCATE COMP "INTERLVDS__50" SITE "W26"; # LOCATE COMP "INTERLVDS__51" SITE "Y26"; # LOCATE COMP "INTERLVDS_B_0" SITE "W27"; # LOCATE COMP "INTERLVDS_B_1" SITE "U29"; # LOCATE COMP "INTERLVDS_B_2" SITE "V26"; # LOCATE COMP "INTERLVDS_B_3" SITE "U28"; # LOCATE COMP "INTERLVDS_B_4" SITE "T29"; # LOCATE COMP "INTERLVDS_B_5" SITE "T30"; # LOCATE COMP "INTERLVDS_B_6" SITE "P30"; # LOCATE COMP "INTERLVDS_B_7" SITE "P29"; # LOCATE COMP "INTERLVDS_B_8" SITE "P25"; # LOCATE COMP "INTERLVDS_B_9" SITE "P23"; # LOCATE COMP "INTERLVDS_B_10" SITE "N22"; # LOCATE COMP "INTERLVDS_B_11" SITE "N30"; # LOCATE COMP "INTERLVDS_B_12" SITE "N24"; # LOCATE COMP "INTERLVDS_B_13" SITE "M27"; # LOCATE COMP "INTERLVDS_B_14" SITE "M25"; # LOCATE COMP "INTERLVDS_B_15" SITE "L22"; # LOCATE COMP "INTERLVDS_B_16" SITE "L28"; # LOCATE COMP "INTERLVDS_B_17" SITE "L24"; # LOCATE COMP "INTERLVDS_B_18" SITE "K24"; # LOCATE COMP "INTERLVDS_B_19" SITE "K23"; # LOCATE COMP "INTERLVDS_B_20" SITE "H28"; # LOCATE COMP "INTERLVDS_B_21" SITE "J26"; # LOCATE COMP "INTERLVDS_B_22" SITE "H23"; # LOCATE COMP "INTERLVDS_B_23" SITE "G24"; # LOCATE COMP "INTERLVDS_B_24" SITE "E30"; # LOCATE COMP "INTERLVDS_B_25" SITE "W30"; # LOCATE COMP "INTERLVDS_B_26" SITE "V24"; # LOCATE COMP "INTERLVDS_B_27" SITE "V30"; # LOCATE COMP "INTERLVDS_B_28" SITE "U25"; # LOCATE COMP "INTERLVDS_B_29" SITE "U23"; # LOCATE COMP "INTERLVDS_B_30" SITE "R23"; # LOCATE COMP "INTERLVDS_B_31" SITE "T23"; # LOCATE COMP "INTERLVDS_B_32" SITE "T27"; # LOCATE COMP "INTERLVDS_B_33" SITE "R27"; # LOCATE COMP "INTERLVDS_B_34" SITE "K30"; # LOCATE COMP "INTERLVDS_B_35" SITE "R26"; # LOCATE COMP "INTERLVDS_B_36" SITE "J30"; # LOCATE COMP "INTERLVDS_B_37" SITE "N25"; # LOCATE COMP "INTERLVDS_B_38" SITE "H30"; # LOCATE COMP "INTERLVDS_B_39" SITE "L30"; # LOCATE COMP "INTERLVDS_B_40" SITE "F30"; # LOCATE COMP "INTERLVDS_B_41" SITE "H29"; # LOCATE COMP "INTERLVDS_B_42" SITE "D30"; # LOCATE COMP "INTERLVDS_B_43" SITE "J27"; # LOCATE COMP "INTERLVDS_B_44" SITE "J22"; # LOCATE COMP "INTERLVDS_B_45" SITE "G28"; # LOCATE COMP "INTERLVDS_B_46" SITE "H27"; # LOCATE COMP "INTERLVDS_B_47" SITE "D28"; # LOCATE COMP "INTERLVDS_B_48" SITE "D27"; # LOCATE COMP "INTERLVDS_B_49" SITE "L25"; # LOCATE COMP "INTERLVDS_B_50" SITE "W25"; # LOCATE COMP "INTERLVDS_B_51" SITE "Y27"; # IOBUF PORT "INTERLVDS__0" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__1" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__2" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__3" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__4" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__5" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__6" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__7" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__8" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__9" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__10" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__11" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__12" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__13" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__14" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__15" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__16" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__17" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__18" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__19" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__20" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__21" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__22" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__23" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__24" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__25" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__26" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__27" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__28" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__29" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__30" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__31" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__32" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__33" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__34" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__35" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__36" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__37" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__38" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__39" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__40" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__41" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__42" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__43" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__44" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__45" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__46" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__47" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__48" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__49" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__50" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "INTERLVDS__51" IO_TYPE=LVDS25 PULLMODE=NONE ; # LOCATE COMP "INTERTTL_0" SITE "C15"; # LOCATE COMP "INTERTTL_1" SITE "D15"; # LOCATE COMP "INTERTTL_2" SITE "C14"; # LOCATE COMP "INTERTTL_3" SITE "E15"; # LOCATE COMP "INTERTTL_4" SITE "G14"; # LOCATE COMP "INTERTTL_5" SITE "J14"; # LOCATE COMP "INTERTTL_6" SITE "H10"; # LOCATE COMP "INTERTTL_7" SITE "E12"; # LOCATE COMP "INTERTTL_8" SITE "D11"; # LOCATE COMP "INTERTTL_9" SITE "H11"; # LOCATE COMP "INTERTTL_10" SITE "F11"; # LOCATE COMP "INTERTTL_11" SITE "D23"; # LOCATE COMP "INTERTTL_12" SITE "E21"; # LOCATE COMP "INTERTTL_13" SITE "D26"; # LOCATE COMP "INTERTTL_14" SITE "E26"; # LOCATE COMP "INTERTTL_15" SITE "E23"; # LOCATE COMP "INTERTTL_16" SITE "G22"; # LOCATE COMP "INTERTTL_17" SITE "D22"; # LOCATE COMP "INTERTTL_18" SITE "F21"; # LOCATE COMP "INTERTTL_19" SITE "G18"; # LOCATE COMP "INTERTTL_20" SITE "H18"; # LOCATE COMP "INTERTTL_21" SITE "D20"; # LOCATE COMP "INTERTTL_22" SITE "D21"; # LOCATE COMP "INTERTTL_23" SITE "E20"; # LOCATE COMP "INTERTTL_24" SITE "J18"; # LOCATE COMP "INTERTTL_25" SITE "J19"; # LOCATE COMP "INTERTTL_26" SITE "G17"; # LOCATE COMP "INTERTTL_27" SITE "G16"; # LOCATE COMP "INTERTTL_28" SITE "H16"; # LOCATE COMP "INTERTTL_29" SITE "F16"; # LOCATE COMP "INTERTTL_30" SITE "J16"; # LOCATE COMP "INTERTTL_31" SITE "G15"; # IOBUF PORT "INTERTTL_0" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_2" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_3" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_4" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_5" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_6" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_7" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_8" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_9" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_10" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_11" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_12" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_13" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_14" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_15" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_16" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_17" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_18" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_19" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_20" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_21" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_22" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_23" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_24" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_25" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_26" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_27" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_28" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_29" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_30" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "INTERTTL_31" IO_TYPE=LVTTL33 PULLMODE=NONE ; #LED LOCATE COMP "LED_NC_17" SITE "D19"; LOCATE COMP "LED_NC_18" SITE "D18"; LOCATE COMP "LED_NC_19" SITE "H17"; LOCATE COMP "LED_NC_20" SITE "F18"; LOCATE COMP "LED_NC_21" SITE "C16"; LOCATE COMP "LED_NC_22" SITE "J15"; LOCATE COMP "LED_NC_23" SITE "F14"; LOCATE COMP "LED_NC_24" SITE "A14"; LOCATE COMP "LED_NC_25" SITE "D13"; LOCATE COMP "LED_NC_26" SITE "G13"; LOCATE COMP "LED_NC_27" SITE "AD18"; LOCATE COMP "LED_NC_28" SITE "AE18"; LOCATE COMP "LED_NC_29" SITE "AC19"; LOCATE COMP "LED_NC_30" SITE "AB18"; LOCATE COMP "LED_NC_31" SITE "AE20"; LOCATE COMP "LED_NC_32" SITE "AC23"; LOCATE COMP "LED_RX_17" SITE "E18"; LOCATE COMP "LED_RX_18" SITE "C17"; LOCATE COMP "LED_RX_19" SITE "J17"; LOCATE COMP "LED_RX_20" SITE "F17"; LOCATE COMP "LED_RX_21" SITE "D16"; LOCATE COMP "LED_RX_22" SITE "H15"; LOCATE COMP "LED_RX_23" SITE "H14"; LOCATE COMP "LED_RX_24" SITE "B14"; LOCATE COMP "LED_RX_25" SITE "F13"; LOCATE COMP "LED_RX_26" SITE "J11"; LOCATE COMP "LED_RX_27" SITE "AC18"; LOCATE COMP "LED_RX_28" SITE "AG19"; LOCATE COMP "LED_RX_29" SITE "AD20"; LOCATE COMP "LED_RX_30" SITE "AC20"; LOCATE COMP "LED_RX_31" SITE "AE21"; LOCATE COMP "LED_RX_32" SITE "AD23"; IOBUF PORT "LED_NC_17" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_18" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_19" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_20" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_21" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_22" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_23" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_24" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_25" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_26" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_27" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_28" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_29" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_30" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_31" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_NC_32" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_17" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_18" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_19" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_20" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_21" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_22" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_23" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_24" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_25" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_26" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_27" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_28" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_29" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_30" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_31" IO_TYPE=LVTTL33 PULLMODE=NONE ; IOBUF PORT "LED_RX_32" IO_TYPE=LVTTL33 PULLMODE=NONE ; #Optical Transceiver # LOCATE COMP "RXN_17" SITE "B19"; # LOCATE COMP "RXN_18" SITE "B20"; # LOCATE COMP "RXN_19" SITE "B28"; # LOCATE COMP "RXN_20" SITE "B29"; # LOCATE COMP "RXN_21" SITE "B2"; # LOCATE COMP "RXN_22" SITE "B3"; # LOCATE COMP "RXN_23" SITE "B11"; # LOCATE COMP "RXN_24" SITE "B12"; # LOCATE COMP "RXN_25" SITE "AJ2"; # LOCATE COMP "RXN_26" SITE "AJ3"; # LOCATE COMP "RXN_27" SITE "AJ11"; # LOCATE COMP "RXN_28" SITE "AJ12"; # LOCATE COMP "RXN_29" SITE "AJ19"; # LOCATE COMP "RXN_30" SITE "AJ20"; # LOCATE COMP "RXN_31" SITE "AJ28"; # LOCATE COMP "RXN_32" SITE "AJ29"; # LOCATE COMP "RXP_17" SITE "A19"; # LOCATE COMP "RXP_18" SITE "A20"; # LOCATE COMP "RXP_19" SITE "A28"; # LOCATE COMP "RXP_20" SITE "A29"; # LOCATE COMP "RXP_21" SITE "A2"; # LOCATE COMP "RXP_22" SITE "A3"; # LOCATE COMP "RXP_23" SITE "A11"; # LOCATE COMP "RXP_24" SITE "A12"; # LOCATE COMP "RXP_25" SITE "AK2"; # LOCATE COMP "RXP_26" SITE "AK3"; # LOCATE COMP "RXP_27" SITE "AK11"; # LOCATE COMP "RXP_28" SITE "AK12"; # LOCATE COMP "RXP_29" SITE "AK19"; # LOCATE COMP "RXP_30" SITE "AK20"; # LOCATE COMP "RXP_31" SITE "AK28"; # LOCATE COMP "RXP_32" SITE "AK29"; # IOBUF PORT "RXP_17" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_18" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_19" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_20" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_21" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_22" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_23" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_24" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_25" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_26" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_27" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_28" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_29" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_30" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_31" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "RXP_32" IO_TYPE=LVDS25 PULLMODE=NONE ; # LOCATE COMP "SD_17" SITE "A17"; # LOCATE COMP "SD_18" SITE "B17"; # LOCATE COMP "SD_19" SITE "A16"; # LOCATE COMP "SD_20" SITE "B16"; # LOCATE COMP "SD_21" SITE "A15"; # LOCATE COMP "SD_22" SITE "B15"; # LOCATE COMP "SD_23" SITE "D4"; # LOCATE COMP "SD_24" SITE "D5"; # LOCATE COMP "SD_25" SITE "E5"; # LOCATE COMP "SD_26" SITE "F6"; # LOCATE COMP "SD_27" SITE "AG11"; # LOCATE COMP "SD_28" SITE "AG12"; # LOCATE COMP "SD_29" SITE "AG13"; # LOCATE COMP "SD_30" SITE "AG15"; # LOCATE COMP "SD_31" SITE "AH14"; # LOCATE COMP "SD_32" SITE "AH15"; # IOBUF PORT "SD_17" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_18" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_19" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_20" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_21 IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_22" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_23" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_24" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_25" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_26" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_27" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_28" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_29" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_30" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_31" IO_TYPE=LTTL33 PULLMODE=NONE ; # IOBUF PORT "SD_32" IO_TYPE=LTTL33 PULLMODE=NONE ; # LOCATE COMP "TXN_17" SITE "B22"; # LOCATE COMP "TXN_18" SITE "B23"; # LOCATE COMP "TXN_19" SITE "B25"; # LOCATE COMP "TXN_20" SITE "B26"; # LOCATE COMP "TXN_21" SITE "B5"; # LOCATE COMP "TXN_22" SITE "B6"; # LOCATE COMP "TXN_23" SITE "B8"; # LOCATE COMP "TXN_24" SITE "B9"; # LOCATE COMP "TXN_25" SITE "AJ5"; # LOCATE COMP "TXN_26" SITE "AJ6"; # LOCATE COMP "TXN_27" SITE "AJ8"; # LOCATE COMP "TXN_28" SITE "AJ9"; # LOCATE COMP "TXN_29" SITE "AJ22"; # LOCATE COMP "TXN_30" SITE "AJ23"; # LOCATE COMP "TXN_31" SITE "AJ25"; # LOCATE COMP "TXN_32" SITE "AJ26"; # LOCATE COMP "TXP_17" SITE "A22"; # LOCATE COMP "TXP_18" SITE "A23"; # LOCATE COMP "TXP_19" SITE "A25"; # LOCATE COMP "TXP_20" SITE "A26"; # LOCATE COMP "TXP_21" SITE "A5"; # LOCATE COMP "TXP_22" SITE "A6"; # LOCATE COMP "TXP_23" SITE "A8"; # LOCATE COMP "TXP_24" SITE "A9"; # LOCATE COMP "TXP_25" SITE "AK5"; # LOCATE COMP "TXP_26" SITE "AK6"; # LOCATE COMP "TXP_27" SITE "AK8"; # LOCATE COMP "TXP_28" SITE "AK9"; # LOCATE COMP "TXP_29" SITE "AK22"; # LOCATE COMP "TXP_30" SITE "AK23"; # LOCATE COMP "TXP_31" SITE "AK25"; # LOCATE COMP "TXP_32" SITE "AK26"; # IOBUF PORT "TXP_17" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_18" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_19" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_20" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_21" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_22" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_23" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_24" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_25" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_26" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_27" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_28" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_29" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_30" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_31" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "TXP_32" IO_TYPE=LVDS25 PULLMODE=NONE ;