COMMERCIAL ; BLOCK RESETPATHS ; BLOCK ASYNCPATHS ; #Clock # LOCATE COMP "FCLK_3" SITE "J2"; # LOCATE COMP "FCLKB_3" SITE "K1"; # IOBUF PORT "FCLK_3" IO_TYPE=LVDS25 PULLMODE=NONE ; # LED # LOCATE COMP "DBUSY" SITE "R6"; # LOCATE COMP "DWAIT" SITE "T5"; # LOCATE COMP "D_FAIL" SITE "T4"; # LOCATE COMP "D_OK" SITE "T6"; # IOBUF PORT "DBUSY" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "DWAIT" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "D_FAIL" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "D_OK" IO_TYPE=LVTTL33 PULLMODE=NONE ; #Connection to FPGA1 # LOCATE COMP "F1_TO_OUT_0" SITE "B1"; # LOCATE COMP "F1_TO_OUTB_0" SITE "C1"; # LOCATE COMP "F1_TO_OUT_1" SITE "D3"; # LOCATE COMP "F1_TO_OUTB_1" SITE "C2"; # LOCATE COMP "F1_TO_OUT_2" SITE "E1"; # LOCATE COMP "F1_TO_OUTB_2" SITE "F1"; # LOCATE COMP "F1_TO_OUT_3" SITE "F6"; # LOCATE COMP "F1_TO_OUTB_3" SITE "F5"; # LOCATE COMP "F1_TO_OUT_4" SITE "G1"; # LOCATE COMP "F1_TO_OUTB_4" SITE "G2"; # LOCATE COMP "F1_TO_OUT_5" SITE "H2"; # LOCATE COMP "F1_TO_OUTB_5" SITE "H3"; # LOCATE COMP "F1_TO_OUT_6" SITE "J4"; # LOCATE COMP "F1_TO_OUTB_6" SITE "K4"; # LOCATE COMP "F1_TO_OUT_7" SITE "K3"; # LOCATE COMP "F1_TO_OUTB_7" SITE "K2"; # LOCATE COMP "F1_TO_OUT_8" SITE "L3"; # LOCATE COMP "F1_TO_OUTB_8" SITE "L4"; # IOBUF PORT "F1_TO_OUT_0" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_1" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_2" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_3" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_4" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_5" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_6" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_7" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F1_TO_OUT_8" IO_TYPE=LVDS25 PULLMODE=NONE ; # LOCATE COMP "F1_OUT_TTL_0" SITE "T7"; # LOCATE COMP "F1_OUT_TTL_1" SITE "T8"; # LOCATE COMP "F1_OUT_TTL_2" SITE "L7"; # LOCATE COMP "F1_OUT_TTL_3" SITE "L8"; # LOCATE COMP "F1_OUT_TTL_4" SITE "P8"; # LOCATE COMP "F1_OUT_TTL_5" SITE "N8"; # LOCATE COMP "F1_OUT_TTL_6" SITE "R7"; # LOCATE COMP "F1_OUT_TTL_7" SITE "R8"; # LOCATE COMP "F1_OUT_TTL_8" SITE "N7"; # IOBUF PORT "F1_OUT_TTL_0" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_2" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_3" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_4" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_5" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_6" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_7" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F1_OUT_TTL_8" IO_TYPE=LVTTL33 PULLMODE=NONE ; # LOCATE COMP "OUT_TO_F1__0" SITE "D2"; # LOCATE COMP "OUT_TO_F1B__0" SITE "D1"; # LOCATE COMP "OUT_TO_F1__1" SITE "F3"; # LOCATE COMP "OUT_TO_F1B__1" SITE "F2"; # LOCATE COMP "OUT_TO_F1__2" SITE "G4"; # LOCATE COMP "OUT_TO_F1B__2" SITE "G3"; # LOCATE COMP "OUT_TO_F1__3" SITE "H1"; # LOCATE COMP "OUT_TO_F1B__3" SITE "J1"; # LOCATE COMP "OUT_TO_F1__4" SITE "G6"; # LOCATE COMP "OUT_TO_F1B__4" SITE "H6"; # LOCATE COMP "OUT_TO_F1__5" SITE "H4"; # LOCATE COMP "OUT_TO_F1B__5" SITE "H5"; # LOCATE COMP "OUT_TO_F1__6" SITE "J6"; # LOCATE COMP "OUT_TO_F1B__6" SITE "J5"; # LOCATE COMP "OUT_TO_F1__7" SITE "L1"; # LOCATE COMP "OUT_TO_F1B__7" SITE "L2"; # LOCATE COMP "OUT_TO_F1__8" SITE "M1"; # LOCATE COMP "OUT_TO_F1B__8" SITE "N1"; # IOBUF PORT "OUT_TO_F1__0" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__1" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__2" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__3" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__4" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__5" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__6" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__7" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F1__8" IO_TYPE=LVDS25 PULLMODE=NONE ; #Connection to FPGA2 # LOCATE COMP "F2_TO_OUT_0" SITE "B16"; # LOCATE COMP "F2_TO_OUTB_0" SITE "C16"; # LOCATE COMP "F2_TO_OUT_1" SITE "E12"; # LOCATE COMP "F2_TO_OUTB_1" SITE "F11"; # LOCATE COMP "F2_TO_OUT_2" SITE "G15"; # LOCATE COMP "F2_TO_OUTB_2" SITE "F16"; # LOCATE COMP "F2_TO_OUT_3" SITE "G13"; # LOCATE COMP "F2_TO_OUTB_3" SITE "G14"; # LOCATE COMP "F2_TO_OUT_4" SITE "G11"; # LOCATE COMP "F2_TO_OUTB_4" SITE "H11"; # LOCATE COMP "F2_TO_OUT_5" SITE "H15"; # LOCATE COMP "F2_TO_OUTB_5" SITE "G16"; # LOCATE COMP "F2_TO_OUT_6" SITE "J13"; # LOCATE COMP "F2_TO_OUTB_6" SITE "J14"; # LOCATE COMP "F2_TO_OUT_7" SITE "J16"; # LOCATE COMP "F2_TO_OUTB_7" SITE "K15"; # LOCATE COMP "F2_TO_OUT_8" SITE "N2"; # LOCATE COMP "F2_TO_OUTB_8" SITE "N3"; # IOBUF PORT "F2_TO_OUT_0" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F2_TO_OUT_1" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F2_TO_OUT_2" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F2_TO_OUT_3" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F2_TO_OUT_4" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F2_TO_OUT_5" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F2_TO_OUT_6" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F2_TO_OUT_7" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "F2_TO_OUT_8" IO_TYPE=LVDS25 PULLMODE=NONE ; # LOCATE COMP "OUT_TO_F2__0" SITE "D15"; # LOCATE COMP "OUT_TO_F2B__0" SITE "D16"; # LOCATE COMP "OUT_TO_F2__1" SITE "F12"; # LOCATE COMP "OUT_TO_F2B__1" SITE "E13"; # LOCATE COMP "OUT_TO_F2__2" SITE "J12"; # LOCATE COMP "OUT_TO_F2B__2" SITE "J11"; # LOCATE COMP "OUT_TO_F2__3" SITE "F15"; # LOCATE COMP "OUT_TO_F2B__3" SITE "E16"; # LOCATE COMP "OUT_TO_F2__4" SITE "F13"; # LOCATE COMP "OUT_TO_F2B__4" SITE "F14"; # LOCATE COMP "OUT_TO_F2__5" SITE "H12"; # LOCATE COMP "OUT_TO_F2B__5" SITE "H13"; # LOCATE COMP "OUT_TO_F2__6" SITE "J15"; # LOCATE COMP "OUT_TO_F2B__6" SITE "H16"; # LOCATE COMP "OUT_TO_F2__7" SITE "A2"; # LOCATE COMP "OUT_TO_F2B__7" SITE "B2"; # LOCATE COMP "OUT_TO_F2__8" SITE "E4"; # LOCATE COMP "OUT_TO_F2B__8" SITE "E5"; # IOBUF PORT "OUT_TO_F2__0" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F2__1" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F2__2" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F2__3" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F2__4" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F2__5" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F2__6" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F2__7" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "OUT_TO_F2__8" IO_TYPE=LVDS25 PULLMODE=NONE ; # LOCATE COMP "F2_OUT_TTL_0" SITE "M8"; # LOCATE COMP "F2_OUT_TTL_1" SITE "R9"; # LOCATE COMP "F2_OUT_TTL_2" SITE "T9"; # LOCATE COMP "F2_OUT_TTL_3" SITE "T10"; # LOCATE COMP "F2_OUT_TTL_4" SITE "R10"; # LOCATE COMP "F2_OUT_TTL_5" SITE "N9"; # LOCATE COMP "F2_OUT_TTL_6" SITE "P10"; # LOCATE COMP "F2_OUT_TTL_7" SITE "L9"; # LOCATE COMP "F2_OUT_TTL_8" SITE "M9"; # LOCATE COMP "F2_OUT_TTL_9" SITE "T11"; # LOCATE COMP "F2_OUT_TTL_10" SITE "R11"; # LOCATE COMP "F2_OUT_TTL_11" SITE "T12"; # IOBUF PORT "F2_OUT_TTL_0" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F2_OUT_TTL_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F2_OUT_TTL_2" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F2_OUT_TTL_3" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F2_OUT_TTL_4" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F2_OUT_TTL_5" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F2_OUT_TTL_6" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F2_OUT_TTL_7" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F2_OUT_TTL_8" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F2_OUT_TTL_9" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F2_OUT_TTL_10" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "F2_OUT_TTL_11" IO_TYPE=LVTTL33 PULLMODE=NONE ; #JTAG # LOCATE COMP "FPGA3_TDO" SITE "N6"; # LOCATE COMP "FPGA2_TDO" SITE "L5"; # LOCATE COMP "FS_PE_1" SITE "N4"; # LOCATE COMP "FS_PE_2" SITE "K6"; # IOBUF PORT "FPGA3_TDO" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FPGA2_TDO" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FS_PE_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "FS_PE_2" IO_TYPE=LVTTL33 PULLMODE=NONE ; #Optical Transceivers # LOCATE COMP "SFP1_RX_P" SITE "A14"; # LOCATE COMP "SFP1_RX_N" SITE "B14"; # LOCATE COMP "SFP1_TX_P" SITE "A11"; # LOCATE COMP "SFP1_TX_N" SITE "B11"; # LOCATE COMP "SFP2_RX_P" SITE "A13"; # LOCATE COMP "SFP2_RX_N" SITE "B13"; # LOCATE COMP "SFP2_TX_P" SITE "A10"; # LOCATE COMP "SFP2_TX_N" SITE "B10"; # IOBUF PORT "SFP1_RX_P" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "SFP1_TX_P" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "SFP2_RX_P" IO_TYPE=LVDS25 PULLMODE=NONE ; # IOBUF PORT "SFP2_TX_P" IO_TYPE=LVDS25 PULLMODE=NONE ; # LOCATE COMP "SFP1_TX_DIS" SITE "M6"; # LOCATE COMP "SFP2_TX_DIS" SITE "P11"; # IOBUF PORT "SFP1_TX_DIS" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "SFP2_TX_DIS" IO_TYPE=LVTTL33 PULLMODE=NONE ; # LOCATE COMP "SFP1_LOS" SITE "R1"; # LOCATE COMP "SFP2_LOS" SITE "R16"; # IOBUF PORT "SFP1_LOS" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "SFP2_LOS" IO_TYPE=LVTTL33 PULLMODE=NONE ; # LOCATE COMP "SFP1_TX_FAULT" SITE "L6"; # LOCATE COMP "SFP2_TX_FAULT" SITE "T13"; # IOBUF PORT "SFP1_TX_FAULT" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "SFP2_TX_FAULT" IO_TYPE=LVTTL33 PULLMODE=NONE ; # LOCATE COMP "SFP1_RATE_SEL" SITE "P3"; # LOCATE COMP "SFP2_RATE_SEL" SITE "N10"; # IOBUF PORT "SFP1_RATE_SEL" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "SFP2_RATE_SEL" IO_TYPE=LVTTL33 PULLMODE=NONE ; # LOCATE COMP "SFP1_MOD_0" SITE "P4"; # LOCATE COMP "SFP1_MOD_1" SITE "P2"; # LOCATE COMP "SFP1_MOD_2" SITE "P1"; # LOCATE COMP "SFP2_MOD_0" SITE "T14"; # LOCATE COMP "SFP2_MOD_1" SITE "R13"; # LOCATE COMP "SFP2_MOD_2" SITE "R15"; # IOBUF PORT "SFP1_MOD_0" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "SFP1_MOD_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "SFP1_MOD_2" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "SFP2_MOD_0" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "SFP2_MOD_1" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "SFP2_MOD_2" IO_TYPE=LVTTL33 PULLMODE=NONE ; # LOCATE COMP "SFP_OSCN" SITE "D8"; # LOCATE COMP "SFP_OSCP" SITE "D9"; # IOBUF PORT "SFP_OSCN" IO_TYPE=LVTTL33 PULLMODE=NONE ; # IOBUF PORT "SFP_OSCP" IO_TYPE=LVTTL33 PULLMODE=NONE ;